liang183 发表于 2016-10-16 17:34:06

EDA技术及应用教程 (赵全利,秦春斌) 课后习题答案 机械工业出版社

部分习题参考答案
3.6习题3
3.合法标识符:my_counter、Decoder_1、data__BUS、Sig_N
非法标识符:2FFT、Sig_#N、Not-Ack、ALL_RST_、return、entity 7.参考程序为:
entityNOR2aisPort( A,B: instd_logic;   C : out std_logic ); endNOR2a;
15. Q=”00100100”
4.4习题4   1.用PROCESS语句和CASE-WHEN语句,参考程如下:
library ieee;
use ieee.std_logic_1164.all; entity decoder3_8 is
   port(a,b,c,g1,g2a,g2b: in std_logic;
            y: out std_logic_vector(7 downto 0)); end decoder3_8;   
architecture a of decoder3_8 is
signal dz:std_logic_vector(2 downto 0); begin
    dz<=c&b&a;
    process (dz,g1,g2a,g2b)   begin
       if(g1='1'and g2a='0'and g2b='0')then          case dz is
            when "000"=> y<="11111110";             when "001"=> y<="11111101";             when "010"=> y<="11111011";             when "011"=> y<="11110111";             when "100"=> y<="11101111";             when "101"=> y<="11011111";             when "110"=> y<="10111111";             when "111"=> y<="01111111";             when others=>y<="XXXXXXXX";         end case;      else
         y<="11111111";      end if;         end process;
end
用WHEN-ELSE语句,参考程序如下:

**** Hidden Message *****
页: [1]
查看完整版本: EDA技术及应用教程 (赵全利,秦春斌) 课后习题答案 机械工业出版社